(Publisher of Peer Reviewed Open Access Journals)

International Journal of Advanced Technology and Engineering Exploration (IJATEE)

ISSN (Print):2394-5443    ISSN (Online):2394-7454
Volume-8 Issue-80 July-2021
Full-Text PDF
Paper Title : Design of high speed approximate multipliers with inexact compressor adder
Author Name : B. Sudharani and G. Sreenivasulu
Abstract :

In most practical applications, approximate computation is being used. By using approximate computing, the system performance metrics like area, power and speed can be improved. In this paper an approximate circuit was proposed and developed by modifying the circuit architecture but not the circuit operation. An approximate multiplier using AND-OR logic approximation with Wallace tree reduction, and 3:2 inexact additive designs were proposed for partial product generation and addition. Four different kinds of Approximate Wallace Multiplier (AWM) were implemented using 3:2 compressor adder designs. The concept was discussed, considering an 8×8-bit multiplication as an example. The proposed multipliers achieve substantial improvements in terms of both area and delay. Compared to the conventional multipliers, the AWM1 achieves up to 35.577% reduction in area and 35.224% in delay. AWM2 has an area and delay reductions of up to 48.077% and 36.532% respectively. AWM3 has area savings of up to 48.077% and delay reductions of up to 46.633%. Finally, the AWM4 has area savings of up to 53.846% and delay reductions of up to 56.482%.

Keywords : Approximate circuits, 3:2 compressor adder design, AND-OR logic, Approximation, Wallace tree reduction.
Cite this article : Sudharani B, Sreenivasulu G. Design of high speed approximate multipliers with inexact compressor adder. International Journal of Advanced Technology and Engineering Exploration. 2021; 8(80):887-902. DOI:10.19101/IJATEE.2021.874124.
References :
[1]Momeni A, Han J, Montuschi P, Lombardi F. Design and analysis of approximate compressors for multiplication. IEEE Transactions on Computers. 2014; 64(4):984-94.
[Crossref] [Google Scholar]
[2]Gupta V, Mohapatra D, Raghunathan A, Roy K. Low-power digital signal processing using approximate adders. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2012; 32(1):124-37.
[Crossref] [Google Scholar]
[3]Reddy KM, Vasantha MH, Kumar YN, Dwivedi D. Design and analysis of multiplier using approximate 4-2 compressor. AEU-International Journal of Electronics and Communications. 2019; 107:89-97.
[Crossref] [Google Scholar]
[4]Esposito D, Strollo AG, Napoli E, De CD, Petra N. Approximate multipliers based on new approximate compressors. IEEE Transactions on Circuits and Systems I: Regular Papers. 2018; 65(12):4169-82.
[Crossref] [Google Scholar]
[5]Yang Z, Jain A, Liang J, Han J, Lombardi F. Approximate XOR/XNOR-based adders for inexact computing. In international conference on nanotechnology 2013 (pp. 690-3). IEEE.
[Crossref] [Google Scholar]
[6]Han J, Orshansky M. Approximate computing: an emerging paradigm for energy-efficient design. In European test symposium 2013 (pp. 1-6). IEEE.
[Crossref] [Google Scholar]
[7]Kyaw KY, Goh WL, Yeo KS. Low-power high-speed multiplier for error-tolerant application. In international conference of electron devices and solid-state circuits 2010 (pp. 1-4). IEEE.
[Crossref] [Google Scholar]
[8]Naaz SA, Pradeep MN, Bhairannawar S, Halvi S. FPGA implementation of high speed vedic multiplier using CSLA for parallel FIR architecture. In international conference on devices, circuits and systems 2014 (pp. 1-5). IEEE.
[Crossref] [Google Scholar]
[9]Baran D, Aktan M, Oklobdzija VG. Energy efficient implementation of parallel CMOS multipliers with improved compressors. In proceedings of the international symposium on low power electronics and design 2010 (pp. 147-52).ACM
[Crossref] [Google Scholar]
[10]Gupta A, Malviya U, Kapse V. Design of speed, energy and power efficient reversible logic based vedic ALU for digital processors. In Nirma university international conference on engineering 2012 (pp. 1-6). IEEE.
[Crossref] [Google Scholar]
[11]Lin CH, Lin C. High accuracy approximate multiplier with error correction. In international conference on computer design 2013 (pp. 33-8). IEEE.
[Crossref] [Google Scholar]
[12]Liu C, Han J, Lombardi F. A low-power, high-performance approximate multiplier with configurable partial error recovery. In design, automation & test in Europe conference & exhibition 2014 (pp. 1-4). IEEE.
[Crossref] [Google Scholar]
[13]Jiang H, Liu C, Maheshwari N, Lombardi F, Han J. A comparative evaluation of approximate multipliers. In international symposium on nano scale architectures 2016 (pp. 191-6). IEEE.
[Google Scholar]
[14]Bhardwaj K, Mane PS, Henkel J. Power-and area-efficient approximate Wallace tree multiplier for error-resilient systems. In fifteenth international symposium on quality electronic design 2014 (pp. 263-9). IEEE.
[Crossref] [Google Scholar]
[15]Maheshwari N, Yang Z, Han J, Lombardi F. A design approach for compressor based approximate multipliers. In international conference on VLSI design 2015 (pp. 209-14). IEEE.
[Crossref] [Google Scholar]
[16]Akbari O, Kamal M, Afzali-kusha A, Pedram M. Dual-quality 4: 2 compressors for utilizing in dynamic accuracy configurable multipliers. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 2017; 25(4):1352-61.
[Crossref] [Google Scholar]
[17]Kulkarni P, Gupta P, Ercegovac MD. Trading accuracy for power in a multiplier architecture. Journal of Low Power Electronics. 2011; 7(4):490-501.
[Crossref] [Google Scholar]
[18]Anitha R, Deshmukh N, Agarwal P, Sahoo SK, Karthikeyan SP, Reglend IJ. A 32-bit mac unit design using vedic multiplier and reversible logic gate. In international conference on circuits, power and computing technologies 2015 (pp. 1-6). IEEE.
[Crossref] [Google Scholar]
[19]Narayanamoorthy S, Moghaddam HA, Liu Z, Park T, Kim NS. Energy-efficient approximate multiplication for digital signal processing and classification applications. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 2014; 23(6):1180-4.
[Crossref] [Google Scholar]
[20]Pei H, Yi X, Zhou H, He Y. Design of ultra-low power consumption approximate 4–2 compressors based on the compensation characteristic. IEEE Transactions on Circuits and Systems II: Express Briefs. 2020; 68(1):461-5.
[Crossref] [Google Scholar]
[21]Masadeh M, Hasan O, Tahar S. Comparative study of approximate multipliers. In proceedings of the on great lakes symposium on VLSI 2018 (pp. 415-8). ACM
[Crossref] [Google Scholar]
[22]Arya NS, Nair RM. Approximate computing: a new trend in VLSI based multipliers for error resilient DIP applications. International Research Journal of Engineering and Technology (IRJET) 2018; 5(4): 3866-9.
[Google Scholar]
[23]Guo Y, Sun H, Kimura S. Design of power and area efficient lower-part-OR approximate multiplier. In TENCON 2018 (pp. 2110-5). IEEE.
[Crossref] [Google Scholar]
[24]Maddisetti L, Ravindra JV. Performance metrics of inexact multipliers based on approximate 5: 2 compressors. In international SoC design conference 2018 (pp. 84-5). IEEE.
[Crossref] [Google Scholar]
[25]Kiruthika R, Suguna S. Low latency and power efficient approximate multipliers using compressors. International Journal of Engineering Research & Technology. 2019; 8(4):593–6.
[26]Yi X, Pei H, Zhang Z, Zhou H, He Y. Design of an energy-efficient approximate compressor for error-resilient multiplications. In international symposium on circuits and systems 2019 (pp. 1-5). IEEE.
[Crossref] [Google Scholar]
[27]Strollo AG, De CD, Napoli E, Petra N, Di MG. Low-power approximate multiplier with error recovery using a new approximate 4-2 compressor. In international symposium on circuits and systems 2020 (pp. 1-4). IEEE.
[Crossref] [Google Scholar]
[28]Balasubramanian P, Nayar R, Maskell DL. Approximate array multipliers. Electronics. 2021; 10(5):1-20.
[Crossref] [Google Scholar]
[29]Guo Y, Sun H, Guo L, Kimura S. Low-cost approximate multiplier design using probability-driven inexact compressors. In Asia pacific conference on circuits and systems 2018 (pp. 291-4). IEEE.
[Crossref] [Google Scholar]
[30]Sabetzadeh F, Moaiyeri MH, Ahmadinejad M. A majority-based imprecise multiplier for ultra-efficient approximate image multiplication. IEEE Transactions on Circuits and Systems I: Regular Papers. 2019; 66(11):4200-8.
[Crossref] [Google Scholar]
[31]Venkatachalam S, Adams E, Lee HJ, Ko SB. Design and analysis of area and power efficient approximate booth multipliers. IEEE Transactions on Computers. 2019; 68(11):1697-703.
[Crossref] [Google Scholar]
[32]Senthilkumar KK, Kumarasamy K, Dhandapani V. Approximate multipliers using bio-inspired algorithm. Journal of Electrical Engineering & Technology. 2021; 16:559-68.
[Crossref] [Google Scholar]