(Publisher of Peer Reviewed Open Access Journals)

International Journal of Advanced Technology and Engineering Exploration (IJATEE)

ISSN (Print):2394-5443    ISSN (Online):2394-7454
Volume-8 Issue-80 July-2021
Full-Text PDF
Paper Title : Analysis of single and multiple on-chip antenna for intra-chip wireless communication with four antenna transceiver model
Author Name : Deepa N P and K L Sudha
Abstract :

The Radio Frequency (RF) wireless interconnect is one way to improve the performance of multi-core chips that is limited by high power dissipation in the form of heat in conventional metal wired connections. This paper examined the suitability of various existing on-chip antennas, as well as the performance of four such antennas located symmetrically at the four edges of the chip substrate for short-range millimeter-wave intra-chip communication. In order to simulate the scenario of RF transceiver, millimeter-wave antenna on-chip models were developed using the CST MWS software tool. The simulation is carried out for mm-wave range of 10-75 GHz. In the first scenario, zig-zag antenna, planar triangular monopole antenna, bowtie antenna and rectangular meander antenna were designed for the specified frequency range. Different parameters were observed and compared to the same. The approximate resonating frequency and return loss (S11) are 75 GHz and -17 dB, respectively for the meander and zig-zag antenna. In case of bowtie, it was -46 dB, which is acceptable, but -6.81 dB for the triangular monopole which is insignificant. In the second scenario, multiple antenna transceiver models were designed using the combination of these antennas. Meander antenna was found to perform better, with a lower reflection coefficient and a good Voltage Standing Wave Ratio (VSWR). The transmission coefficient of the side antenna is 4 to 16 dB higher than the oppositely placed antenna in the four-antenna model where antennas are kept at the edge centre. Most of the designs have a VSWR between 1 and 2 at their resonant frequency which is satisfactory.

Keywords : On-chip antenna, WiNoC, Meander antenna, Zig-zag antenna, Short-range communication, Intra chip communication.
Cite this article : Deepa NP, Sudha KL. Analysis of single and multiple on-chip antenna for intra-chip wireless communication with four antenna transceiver model. International Journal of Advanced Technology and Engineering Exploration. 2021; 8(80):874-886. DOI:10.19101/IJATEE.2021.874137.
References :
[1]Abadal S, Han C, Jornet JM. Wave propagation and channel modeling in chip-scale wireless communications: a survey from millimeter-wave to terahertz and optics. IEEE Access. 2019; 8:278-93.
[Crossref] [Google Scholar]
[2]Neculoiu D, Muller A, Tang K, Laskin E, Voinigescu SP. 160 GHz on-chip dipole antenna structure in silicon technology. In international semiconductor conference 2007 (pp. 245-8). IEEE.
[Crossref] [Google Scholar]
[3]Tao C, Teemu P, Esa T, Tenhunen H. RF transceiver circuit technology based wireless interconnects for inter-and intra-chip communication system. In electronics packaging technology conference 2008 (pp. 1409-14). IEEE.
[Crossref] [Google Scholar]
[4]Chang K, Deb S, Ganguly A, Yu X, Sah SP, Pande PP, et al. Performance evaluation and design trade-offs for wireless network-on-chip architectures. ACM Journal on Emerging Technologies in Computing Systems. 2012; 8(3):1-25.
[Crossref] [Google Scholar]
[5]Barakat A, Allam A, Pokharel RK, Elsadek H, El-Sayed M, Yoshida K. 60 Ghz triangular monopole antenna-on-chip over an artificial magnetic conductor. In European conference on antennas and propagation 2012 (pp. 972-6). IEEE.
[Crossref] [Google Scholar]
[6]Samaiyar A, Ram SS, Deb S. Millimeter-wave planar log periodic antenna for on-chip wireless interconnects. In the European conference on antennas and propagation 2014 (pp. 1007-9). IEEE.
[Crossref] [Google Scholar]
[7]Wang W, Chen Y, Yang S, Cao Q, Li H, Zheng X, et al. Wireless inter/intra-chip communication using an innovative PCB channel bounded by a metamaterial absorber. IEEE Antennas and Wireless Propagation Letters. 2016; 15:1634-7.
[Crossref] [Google Scholar]
[8]Pizano-escalante L, Longoria-gandara O, Parra-michel R, Peña-campos F. Simulation model to predict BER based on s-parameters of high-speed interconnects. IEEE Design & Test. 2018; 36(1):31-9.
[Crossref] [Google Scholar]
[9]Gade SH, Rout SS, Deb S. On-chip wireless channel propagation: impact of antenna directionality and placement on channel performance. In international symposium on networks-on-chip 2018 (pp. 1-8). IEEE.
[Crossref] [Google Scholar]
[10]Elaiyabharathi N, Muthukannan P, Reji M. Design and simulation of meander line antenna for wireless applications. International Journal of Recent Technology and Engineering. 2019; 8(1):2001-5.
[Google Scholar]
[11]https://www.3ds.com/products-services/simulia/products/cst-studio-suite/. Accessed 10 May 2021.
[12]Upadhyay S, Srivastava S. A 60-GHz on-chip monopole antenna using silicon technology. In applied electromagnetics conference 2013 (pp. 1-2). IEEE.
[Crossref] [Google Scholar]
[13]Deb S. Millimeter-wave wireless network-on-chip: a CMOS compatible interconnection infrastructure for future many-core processors. Washington State University; 2012.
[Google Scholar]
[14]Karamzadeh S, Kiliç OF, Hepbiçer AS, Demirbaş F. Bow tie antenna design for GPR applications. International Journal of Electronics Mechanical and Mechatronics Engineering. 2016; 6(2):1187-94.
[Google Scholar]
[15]Narde RS, Venkataraman J, Ganguly A, Puchades I. Intra-and inter-chip transmission of millimeter-wave interconnects in NoC-based multi-chip systems. IEEE Access. 2019; 7:112200-15.
[Crossref] [Google Scholar]
[16]Rout SS, Basu K, Deb S. Efficient post-silicon validation of network-on-chip using wireless links. In 32nd international conference on VLSI design and international conference on embedded systems 2019 (pp. 371-6). IEEE.
[Crossref] [Google Scholar]
[17]Narde RS, Venkataraman J, Ganguly A. Disc-Loaded, Vertical top-hat monopole antenna at 225 GHz for on-chip wireless communications. In international symposium on antennas and propagation and USNC-URSI radio science meeting 2019 (pp. 1883-4). IEEE.
[Crossref] [Google Scholar]
[18]El MI, Le GT, Martin PM, Allanic R, Quendo C. Integrated dipole antennas and propagation channel on silicon in Ka band for WiNoC applications. In workshop on signal and power integrity 2018 (pp. 1-4). IEEE.
[Crossref] [Google Scholar]
[19]Zhang H, Shamim A. Gain enhancement of millimeter-wave on-chip antenna through an additively manufactured functional package. IEEE Transactions on Antennas and Propagation. 2020; 68(6):4344-53.
[Crossref] [Google Scholar]
[20]El MI, Le GT, Martin PM, Allanic R, Quendo C. Electromagnetic characterization of the intrachip propagation channel in $ Ka $-and $ V $-bands. IEEE Transactions on Components, Packaging and Manufacturing Technology. 2019; 9(10):1931-41.
[Crossref] [Google Scholar]
[21]Timoneda X, Cabellos-aparicio A, Manessis D, Alarcón E, Abadal S. Channel characterization for chip-scale wireless communications within computing packages. In international symposium on networks-on-chip 2018 (pp. 1-8). IEEE.
[Crossref] [Google Scholar]
[22]El MI, Martin PM, Mondal HK, Allanic R, Le GT, Quendo C, et al. Accurate channel models for realistic design space exploration of future wireless NoCs. In international symposium on networks-on-chip 2018 (pp. 1-8). IEEE.
[Crossref] [Google Scholar]
[23]Gade SH, Ram SS, Deb S. Millimeter wave wireless interconnects in deep submicron chips: Challenges and opportunities. Integration. 2019; 64:127-36.
[Crossref] [Google Scholar]
[24]Timoneda X, Abadal S, Franques A, Manessis D, Zhou J, Torrellas J, et al. Engineer the channel and adapt to it: enabling wireless intra-chip communication. IEEE Transactions on Communications. 2020; 68(5):3247-58.
[Crossref] [Google Scholar]
[25]Chen Y, Han C. Channel modeling and analysis for wireless networks-on-chip communications in the millimeter wave and terahertz bands. In INFOCOM conference on computer communications workshops 2018 (pp. 651-6). IEEE.
[Crossref] [Google Scholar]